Yosys
A framework for Verilog RTL synthesis.
Overview
Yosys is a versatile, open-source framework for Verilog RTL synthesis. It forms the core of many open-source FPGA and ASIC flows. Yosys can read Verilog and SystemVerilog designs, perform synthesis and technology mapping, and write out results in various formats, including structural Verilog, BLIF, EDIF, and JSON. It is highly extensible and scriptable.
✨ Key Features
- Verilog-2005 and SystemVerilog (synthesizable subset) support
- Extensible framework with a rich scripting interface (Tcl)
- Support for formal verification (e.g., equivalence checking)
- Technology mapping for various FPGA architectures (Lattice, Xilinx, etc.)
- ASIC synthesis capabilities (with OpenROAD/OpenLane)
- Free and open source
🎯 Key Differentiators
- Completely open source and highly extensible
- Vendor-neutral, supporting multiple FPGA and ASIC targets
- Strong capabilities for formal verification
Unique Value: Provides a free, open, and extensible synthesis framework that gives designers complete control and transparency over the synthesis process.
🎯 Use Cases (5)
✅ Best For
- Complete open-source toolchain for Lattice iCE40 FPGAs
- Synthesis component of the OpenLane ASIC toolchain
- Used in academic research for novel synthesis algorithms
💡 Check With Vendor
Verify these considerations match your specific requirements:
- Users who need a GUI-based, push-button synthesis solution
- Designs requiring the absolute highest performance on the latest, largest FPGAs (vendor tools are often better optimized)
🏆 Alternatives
Unlike the 'black box' nature of proprietary synthesis tools, Yosys is fully transparent, allowing for inspection, customization, and extension, which is invaluable for research, security, and custom flows.
💻 Platforms
✅ Offline Mode Available
🔌 Integrations
💰 Pricing
Free tier: Yosys is completely free and open source.
🔄 Similar Tools in FPGA Development
AMD Vivado ML Edition
A complete IDE for synthesis, implementation, and analysis of FPGA designs, with a focus on machine ...
Intel Quartus Prime
Intel's primary software for designing with their FPGAs, SoCs, and CPLDs, from design entry to optim...
Lattice Diamond
An integrated design environment for developing applications using Lattice's low-power FPGAs....
Microchip Libero SoC Design Suite
An integrated design suite for Microchip's FPGAs and SoC FPGAs, focusing on security and reliability...
Siemens ModelSim
A multi-language HDL simulation environment for ASIC and FPGA design verification....
Synopsys Synplify Pro
A high-performance logic synthesis tool that optimizes RTL code for FPGA architectures....